Spinner

Документ се учитава

АТУСС

ВИШЕР

Академија техничко-уметничких струковних студија Београд

Одсек Висока школа електротехнике и рачунарства

Дигитални системи у програмабилној логици Шифра: 317107 | 8 ЕСПБ

Опште информације
Ниво студија: Мастер струковне студије
Година студија: 1
Семестар: 2
Услов: Основна знања из области дигиталне електронике.
Циљ: Стицање основних знања о пројектовању дигиталних система, тестирању и имплементацији у програмабилним логичким колима високог степена интеграције.
Исход: Оспособљеност за пројектовање, симулацију рада и имплементацију дигиталних система у програмабилним логичким колима FPGA типа применом софтверских развојних алата.
Садржај предмета
Теоријска настава:
  1. Платформе за развој и имплементацију дигиталних система у програмабилном логичком колу. Типичне структуре конфигурабилних логичких блокова у FPGA колима. Софтверски развојни алати.
  2. Опис компонената дигиталног система применом VHDL језика.
  3. VHDL опис генератора импулсно-ширински модулисаних сигнала.
  4. VHDL опис машине стања. Пројектовање система за управљање саобраћајем на бази Милијеве машине стања.
  5. VHDL опис стандардних интерфејса за пренос података.
  6. Структурни и хијерархијски опис дигиталних система применом VHDL језика.
  7. Основе Verilog језика. Модул као основни градивни блок. Декларација портова.
  8. Verilog опис комбинационe и секвенцијалнe логикe. Хијерархијски опис дизајна.
  9. Verilog опис модула за дигиталну обраду сигнала. Примери дизајна дигиталних филтара.
  10. Структурни опис дигиталног система применом Verilog језика. Компоненте, функције и процедуре.
  11. Оптимизација заузећа логичких ресурса. Реализација дизајна применом мегафункција.
  12. Пример имплементације једноставног 16-битног микропроцесорa у FPGA колу.
  13. IP Core софтверски модули за имплементацију сложених дигиталних система у FPGA колима.
  14. Примери пројектовање и имплементација дигиталних система у FPGA SoC (System on Chip).
  15. Закључна разматрања. Самовредновање и анализа предмета.
Практична настава:
  1. Практична настава прати програм предавања.
Литература
  1. V. Kovačević, Logičko projektovanje računarskih sistema I - пројектовање дигиталних система, FTN, Novi Sad, 2013.
  2. D. Prokin, D. Todović, Zbirka zadataka iz Programabilnih logičkih kola, Akademska izdanja, Beograd, 2007.
  3. J. Hamblen, T. Hall, M. Furman, Rapid prototyping of digital systems, Springer, 2006.
  4. P. P. Chu, RTL hardware design using VHDL, John Wiley & Sons, Inc., 2006.
  5. М. M. Mano, M. D. Ciletti, Digital design with an introduction to the Verilog HDL, Pearson, 2013.
Број часова активне наставе (недељно)
Предавања: 4
Вежбе: 3
Други облици наставе: 0
Оцена знања (максималан број поена 100)
Предиспитне обавезе
Поени
активности у току предавања
20
активности на лабораторијским вежбама
30
семинарски рад
20
колоквијум
0
Завршни испит
Поени
Писмени испит
30
Усмени испит
0
Обавештења
© 2024 Одсек Висока школа електротехнике и рачунарства, Београд
Војводе Степе 283, office@viser.edu.rs, +381 11 2471 099
Радно време: Понедељак - Петак 09:00-17:00